×

Resonant virtual supply booster for synchronous logic circuits and other circuits with use of on-chip integrated magnetic inductor

  • US 10,256,819 B2
  • Filed: 02/15/2018
  • Issued: 04/09/2019
  • Est. Priority Date: 05/17/2017
  • Status: Active Grant
First Claim
Patent Images

1. A circuit for providing a supply voltage to a dynamic internal power supply node of a group of other circuits, comprising:

  • a first transistor and a second transistor, of different channel types from among N and P channel types, coupled in parallel, each having a terminal connected to the dynamic internal power supply node and another terminal connected to a static power supply that supplies a constant power supply voltage; and

    a magnetic inductor having a first terminal connected to the static power supply and a second terminal connected to the dynamic internal power supply node, to supply the dynamic internal power supply node with a boosted voltage having a magnitude greater than a magnitude of the constant power supply voltage by resonating with at least one capacitance coupled to the dynamic internal power supply node.

View all claims
  • 1 Assignment
Timeline View
Assignment View
    ×
    ×