×

Method and system for timing optimization with detour prediction

  • US 10,268,795 B2
  • Filed: 04/20/2017
  • Issued: 04/23/2019
  • Est. Priority Date: 04/20/2017
  • Status: Active Grant
First Claim
Patent Images

1. A method implemented in an electronic design automation system, comprising the steps of:

  • performing a routing operation to generate routing and detour location data for a chip;

    generating a data model in response to a machine learning process that describes a relationship between an image map of the chip and the detour location data;

    determining predicted detour locations based on the data model and the image map;

    determining the probability of detouring in a region of the predicted detour locations;

    determining a predicted detour net for a path in a region having a high probability of detour;

    determining sensitivity of predicted detour nets along the path; and

    routing a detour net for the chip in response to the determined sensitivity of the predicted detour nets, whereinthe step of generating the data model further comprises;

    receiving the routing and detour location data;

    receiving a map of circuit element placement derived from placement data; and

    converting the map into the image map, andthe map includes a congestion map, and the step of converting further comprises;

    obtaining information on the number of nets available in a region each in a first direction and in a second direction different from the first direction; and

    obtaining information on the number of nets actually routed in the region each in the first direction and in the second direction.

View all claims
  • 1 Assignment
Timeline View
Assignment View
    ×
    ×