×

Method for forming spacers using silicon nitride film for spacer-defined multiple patterning

  • US 10,468,251 B2
  • Filed: 07/14/2017
  • Issued: 11/05/2019
  • Est. Priority Date: 02/19/2016
  • Status: Active Grant
First Claim
Patent Images

1. A method of forming spacers for spacer-defined multiple patterning (SDMP) by plasma-enhanced atomic layer deposition (PEALD) without forming sloped shoulders on top of the spacers, comprising steps of:

  • (i) providing a template having a surface patterned by a mandrel formed on an underlying layer in a reaction space;

    (ii) depositing a pattern transfer film by plasma-enhanced atomic layer deposition (PEALD) on the entire patterned surface of the template using halogenated silane as a precursor and nitrogen as a reactant at a temperature of 200°

    C. or less using anisotropic ion bombardment by a plasma in a manner lowering film quality at a portion of the pattern transfer film formed on a top of the mandrel than film quality at a vertical portion of the pattern transfer film, wherein a boundary between the portion of the pattern transfer film on the top of the mandrel and the vertical portion of the pattern transfer film is upwardly inclined from an inner part to an outer part of the vertical portion such that in step (iii), the portion of the pattern transfer film formed on the top of the mandrel is more easily etched than the vertical portion of the pattern transfer film, said pattern transfer film being a conformal silicon nitride film and having a thickness smaller than a width of the mandrel;

    (iii) dry-etching the template whose entire upper surface is covered with the pattern transfer film using a fluorocarbon as an etchant by anisotropic dry etching wherein an exposed surface and a horizontal portion receive higher ion irradiation for etching than does a vertical portion so that the outer part of the vertical portion of the pattern transfer film receives higher ion irradiation than does the inner part of the vertical portion of the pattern transfer film when etching progresses, and thereby selectively removing a portion of the pattern transfer film formed on the top of the mandrel and the horizontal portion of the pattern transfer film while leaving the mandrel as a core material and the vertical portion of the pattern transfer film as a vertical spacer except for the boundary between the horizontal portion and the vertical portion wherein a top of the vertical spacer is flat to the extent that spacer-defined multiple patterning can be performed using the vertical spacer with the top; and

    (iv) dry-etching the core material, whereby the template has a surface patterned by the vertical spacer on the underlying layer.

View all claims
  • 1 Assignment
Timeline View
Assignment View
    ×
    ×