×

Targeted delay optimization through programmable clock delays

  • US 10,565,334 B1
  • Filed: 12/20/2017
  • Issued: 02/18/2020
  • Est. Priority Date: 12/20/2017
  • Status: Active Grant
First Claim
Patent Images

1. A computer-implemented method of processing a circuit design, comprising:

  • performing operations on a processor including;

    determining a timing violation of a target cell coupled to receive a clock signal through a first clock leaf of a plurality of clock leaves of the circuit design;

    determining a first set of slacks of a plurality of cells, including the target cell, coupled to receive the clock signal through the first clock leaf, wherein slacks of the first set are based on a current delay value specified for a first programmable delay circuit of the first clock leaf;

    predicting a second set of slacks of the cells based on another delay value specified for the first programmable delay circuit of the first clock leaf, wherein the other delay value is sufficient to resolve the timing violation of the target cell;

    determining whether or not the second set of slacks indicates a degradation in timing relative to the first set of slacks;

    adjusting the current delay value of the first programmable delay circuit of the first clock leaf to the other delay value in response to determining the second set of slacks indicates no degradation in timing relative to the first set of slacks; and

    reconnecting the target cell to receive the clock signal from a second clock leaf having a second programmable delay circuit specified with the other delay value in response to determining the second set of slacks indicates degradation in timing relative to the first set of slacks.

View all claims
  • 1 Assignment
Timeline View
Assignment View
    ×
    ×