×

Processors, methods and systems to allow secure communications between protected container memory and input/output devices

  • US 10,664,179 B2
  • Filed: 09/25/2015
  • Issued: 05/26/2020
  • Est. Priority Date: 09/25/2015
  • Status: Active Grant
First Claim
Patent Images

1. An integrated circuit comprising:

  • a protected container access control logic including at least some integrated circuitry, disposed on the integrated circuit, including a memory management unit (MMU), including one or more translation lookaside buffers (TLBs), and including a data structure to map device protected container modules (DPCMs) to input/output (I/O) devices, the protected container access control logic operative to perform a set of access control checks and to determine whether to allow a DPCM and an I/O device to communicate securely through one of direct memory access (DMA) and memory-mapped input/output (MMIO), the protected container access control logic, responsive to an attempt by the DPCM and the I/O device to said communicate securely, to allow the DPCM and the I/O device to said communicate securely if the set of access control checks determine that at least;

    the DPCM and the I/O device are mapped to one another using the data structure;

    an access address associated with the communication resolves into a protected container memory; and

    a page of the protected container memory into which the access address resolves allows for said one of the DMA and the MMIO and has a page type dedicated to secure I/O instead of a plurality of other possible page types for pages of the protected container memory that are not dedicated to the secure I/O and that the protected container access control logic does not allow the I/O device to perform either one of the DMA or the MMIO to;

    a decode unit to decode an instruction of an instruction set, wherein the integrated circuit is to perform the instruction to reconfigure the page from one of the plurality of other possible page types to the page type dedicated to the secure I/O in a protected container memory control structure.

View all claims
  • 1 Assignment
Timeline View
Assignment View
    ×
    ×