×

System and method for integrated circuit design

  • US 20030188271A1
  • Filed: 09/30/2002
  • Published: 10/02/2003
  • Est. Priority Date: 04/02/2002
  • Status: Abandoned Application
First Claim
Patent Images

1. A system for generating optimised chip-planning solutions, including:

  • a dynamic parallel genetic algorithm (DPGA) module adapted to receive a plurality of input parameters and to generate first-phase chip-planning solutions based on global searching and a multi-objective optimisation process; and

    a linear programming (LP) module adapted to refine the first-phase chip-planning solutions based on local searching and a single-objective optimisation process to generate second-phase chip-planning solutions.

View all claims
  • 1 Assignment
Timeline View
Assignment View
    ×
    ×