×

Advanced multi-pressure workpiece processing

  • US 20050205210A1
  • Filed: 01/05/2005
  • Published: 09/22/2005
  • Est. Priority Date: 01/06/2004
  • Status: Abandoned Application
First Claim
Patent Images

1. In a system for treating at least one workpiece using a treatment process, said system having at least a transfer chamber and a processing chamber such that a transfer chamber pressure, in the transfer chamber, and a processing chamber pressure, in the processing chamber, can each vary and the workpiece can be moved between the transfer chamber and the processing chamber, said system further including a process gas regulation arrangement for providing process gas to said processing chamber at least during a plasma treatment process at a given flow rate and which is capable of providing said process gas at a maximum flow rate, a method comprising:

  • a) equalizing the transfer chamber pressure and the processing chamber pressure to a treatment pressure at which the workpiece is to be subjected to a plasma treatment process;

    b) transferring the workpiece from the transfer chamber to the processing chamber at the treatment pressure;

    c) preheating the workpiece to a treatment temperature, in cooperation with raising the processing chamber pressure to a preheating pressure at a pressure rise rate resulting at least in part from using an additional process chamber gas input flow at an input flow rate which causes an overall input rate to the processing chamber to be greater than said maximum flow rate, without raising the transfer chamber pressure;

    d) reducing the processing chamber pressure to the treatment pressure; and

    e) exposing the workpiece to said plasma treatment process at least approximately at said treatment pressure and at said treatment temperature.

View all claims
  • 1 Assignment
Timeline View
Assignment View
    ×
    ×