×

Processor apparatus for executing instructions with local slack prediction of instructions and processing method therefor

  • US 20070234014A1
  • Filed: 03/13/2007
  • Published: 10/04/2007
  • Est. Priority Date: 03/28/2006
  • Status: Abandoned Application
First Claim
Patent Images

1. A processor apparatus for predicting predicted slack which is a predicted value of local slack of an instruction to be executed by the processor apparatus and executing the instruction using the predicted slack, the processor apparatus comprising:

  • a storage unit for storing a slack table including the predicted slack;

    a setting unit for referring to the slack table upon execution of an instruction to obtain predicted slack of the instruction and increasing execution latency by an amount equivalent to the obtained predicted slack;

    an estimation unit for estimating, based on behavior exhibited upon execution of the instruction, whether or not the predicted slack has reached target slack which is an appropriate value of current local slack of the instruction; and

    an update unit for gradually increasing the predicted slack each time the instruction is executed until it is estimated by the estimation unit that the predicted slack has reached the target slack.

View all claims
  • 1 Assignment
Timeline View
Assignment View
    ×
    ×