×

IP cores in reconfigurable three dimensional integrated circuits

  • US 20090070728A1
  • Filed: 09/12/2008
  • Published: 03/12/2009
  • Est. Priority Date: 09/12/2007
  • Status: Active Grant
First Claim
Patent Images

1. A system for organizing IP cores in three dimensional FPGAs in a three dimensional system on a chip, comprising:

  • a multi-layer FPGA;

    a multi-layer hybrid IC;

    SRAM memory components on at least one FPGA layer;

    LUTs in FPGA SRAM components;

    soft IP cores;

    wherein the IP cores include netlists;

    wherein at least one IP core accesses a memory component to change the FPGA layer program code;

    wherein the IP core program code is forwarded to a switch matrix apparatus on a FPGA layer;

    wherein the IP core program code activates at least one logic block array on a FPGA layer tile to reconfigure its interconnects from one ASIC position to another ASIC position when specific criteria are satisfied; and

    wherein when the FPGA layer in at least one logic block array are activated, they change their interconnection configuration to a new geometric position.

View all claims
  • 0 Assignments
Timeline View
Assignment View
    ×
    ×