×

METHODS AND SYSTEMS FOR INTEGRATED CIRCUIT C4 BALL PLACEMENT

  • US 20140109032A1
  • Filed: 12/12/2013
  • Published: 04/17/2014
  • Est. Priority Date: 07/13/2011
  • Status: Active Grant
First Claim
Patent Images

1. A method of laying out C4 ball placements, comprising using a computer to perform the following steps:

  • (i) calculating the optimal position of C4 solder balls on a chip using a quadratic optimization technique;

    (ii) producing a grid map of all possible C4 ball locations based on the minimum pitch distance for the C4 balls and the length and width of the chip;

    (iii) generating a temperature map from the produced grid map;

    (iv) determining the thermal stress on a C4 ball at each possible C4 ball location in the grid maps by using the temperature map;

    (v) calculating a thermal failure rate for each possible C4 ball location based on thermal stresses, creep rates, and number of cycles to failure rates for the C4 balls;

    (vi) producing a reduced grid map by removing all possible C4 ball locations from the grid map that have a higher thermal failure rate than a predetermined threshold;

    (vii) legalizing possible C4 ball locations in the reduced grid map so as to generate bin entries;

    (viii) sorting possible C4 ball locations based on bin entries; and

    (ix) locating a set of C4 ball locations within the reduced grid map using bin entries such that overlaps between other C4 ball locations and removed C4 ball locations are avoided.

View all claims
  • 1 Assignment
Timeline View
Assignment View
    ×
    ×