×

DESIGN RULE CHECKING FOR CONFINING WAVEFORM INDUCED CONSTRAINT VARIATION IN STATIC TIMING ANALYSIS

  • US 20150169819A1
  • Filed: 05/09/2014
  • Published: 06/18/2015
  • Est. Priority Date: 12/13/2013
  • Status: Active Grant
First Claim
Patent Images

1. A computer-implemented method for design rule checking (DRC) during static timing analysis (STA) of an integrated circuit (IC) design, comprising:

  • analyzing cells with distorted waveforms in a cell library and generating both library-based and simulated waveforms for each cell type according to a plurality of parameters for the cell type;

    constructing a lookup table based on analysis of the distorted waveforms, wherein the lookup table maps waveform error to hold time constraint error of each cell type in the library and is saved in a memory;

    identifying one or more cells in the IC design as risky for timing constraint violation during static timing analysis of the IC design according to the lookup table;

    re-optimizing the identified risky cells to reduce risk for timing violation of the IC design.

View all claims
  • 1 Assignment
Timeline View
Assignment View
    ×
    ×