×

Verification of Hardware Design for Data Transformation Pipeline with Equivalent Data Transformation Element Output Constraint

  • US 20190311075A1
  • Filed: 04/03/2019
  • Published: 10/10/2019
  • Est. Priority Date: 04/05/2018
  • Status: Active Grant
First Claim
Patent Images

1. A computer-implemented method of verifying a hardware design for a first data transformation pipeline, the first data transformation pipeline comprising one or more data transformation elements that perform a data transformation on one or more inputs, the method comprising, at one or more processors:

  • formally verifying that a set of one or more outputs of an instantiation of the hardware design for the first data transformation pipeline matches a set of one or more outputs of an instantiation of a hardware design for a second data transformation pipeline for a predetermined set of transactions, wherein the second data transformation pipeline comprises one or more data transformation elements that perform a data transformation on one or more inputs, a data transformation element of the second data transformation pipeline being substantially equivalent to a data transformation element of the first data transformation pipeline;

    wherein the formal verification is performed under a constraint that the substantially equivalent data transformation elements of the first and second data transformation pipelines produce the same outputs in response to the same inputs.

View all claims
  • 1 Assignment
Timeline View
Assignment View
    ×
    ×