×

Method and apparatus for sequencing misaligned external bus transactions in which the order of completion of corresponding split transaction requests is guaranteed

  • US 5,535,345 A
  • Filed: 05/12/1994
  • Issued: 07/09/1996
  • Est. Priority Date: 05/12/1994
  • Status: Expired due to Term
First Claim
Patent Images

1. In a microprocessor having an associated bus interface unit with control logic for coupling the microprocessor to an external bus and processing external bus transaction requests on the external bus, a method is provided for sequencing misaligned bus transaction requests on the external bus, each of said misaligned bus transaction request forming a memory access crossing a data bus width boundary of the external bus, the method comprising the steps of:

  • said bus interface unit of said microprocessor separating each misaligned bus transaction request into at least first and second split transaction requests, with each split request forming a memory access that does not cross a data bus width boundary of the external bus;

    issuing the first split request to the control logic of the bus interface unit for processing of the first split request on the external bus;

    determining whether a global observation has been issued for said first split request;

    in response to said global observation of said first split request issuing the second split request to the control logic of the bus interface unit for processing of the second split request on the external bus;

    determining whether a global observation has been issued for said second split request; and

    in response to said global observation of said second split request, completing processing of the second split request on the external bus in order with respect to issuance of the first split request.

View all claims
  • 1 Assignment
Timeline View
Assignment View
    ×
    ×