×

Locating hold time violations in scan chains by generating patterns on ATE

  • US 7,853,846 B2
  • Filed: 10/31/2007
  • Issued: 12/14/2010
  • Est. Priority Date: 10/31/2007
  • Status: Active Grant
First Claim
Patent Images

1. A method for determining if a scan chain is blocked under all environmental conditions comprising the following steps;

  • setting an environmental variable of a device under test wherein the environmental variable comprises at least one of temperature and supply voltage;

    repeatedly applying a non-constant sequence pattern to the device under test;

    sweeping the environmental variable through a range while repeating the steps preceding;

    recording a value of the environmental variable which enables a match of an input sequence to the device under test and an output sequence from the device under test, whereby no changes in the output sequence distinguishes the scan chain as broken, an exact match of the input sequence and the output sequence distinguishes the scan chain as good, and variability in matching input sequences and output sequences distinguishes the scan chain as potentially having defects causing hold time violations in at least one scan cell in the scan chain; and

    determining a number of scan cells in the scan chain having defects causing hold time violations by,setting the environmental variable to a value determined to cause a potential hold time violation; and

    thenapplying a pattern of 2n ones, 2n zeroes and n ones to an input of the scan chain, wherein n is the length of the scan chain; and

    observing an output of the scan chain and comparing a number of clocks to a number of bits between transitions, whereby the number of scan cells causing hold time violations is equal to the number of clocks that a transition occurs before n clocks.

View all claims
  • 6 Assignments
Timeline View
Assignment View
    ×
    ×