×

Accurate capacitance measurement for ultra large scale integrated circuits

  • US 7,880,494 B2
  • Filed: 03/02/2010
  • Issued: 02/01/2011
  • Est. Priority Date: 06/29/2007
  • Status: Expired due to Fees
First Claim
Patent Images

1. A method of measuring parasitic capacitance in a semiconductor, said method comprising:

  • providing a first test structure comprising a first conductive comb structure and a second conductive comb structure complementary to the first comb, each of said first and second conductive comb structures being formed in a first interconnect layer, and a third conductive comb structure and a fourth conductive comb structure complementary to the third comb, each said third and fourth conductive comb structures being formed in a second interconnect layer;

    wherein the first conductive comb structure couples with the fourth conductive comb structure through a first plurality of to-be-measured via formed at the cross-over regions of the first and the fourth conductive comb structures, and the second conductive comb structure couples with the third conductive comb structure through a second plurality of to-be-measured via formed at the cross-over regions of the second and the third conductive comb structures;

    applying a first bias to the first and the fourth conductive comb structures, and a second bias to the second and the third conductive comb structures;

    measuring a first capacitance on said first test structure between said first bias and said second bias;

    providing a second test structure comprising a fifth conductive comb structure and a sixth conductive comb structure complementary to the fifth conductive comb structure, both being formed in the first interconnect layer, and a seventh conductive comb structure and an eighth conductive comb structure complementary to the seventh conductive comb structure, both being formed in the second interconnect layer;

    wherein the fifth and the eight conductive comb structures are substantially similar to the first and the fourth conductive comb structures, respectively, being free of to-be-measured vias formed between the fifth and the eight conductive comb structures;

    wherein the sixth and the seventh conductive comb structures are substantially similar to the second and the third conductive comb structures, respectively, being free of to-be-measured vias formed between the sixth and the seventh conductive comb structures;

    applying the first bias to the fifth and the eight conductive comb structures, and the second bias to the sixth and the seventh conductive comb structures;

    measuring a second capacitance on the second test structure between the first bias and the second bias; and

    calculating a parasitic capacitance Cv of to-be-measured via using said first and said second capacitances.

View all claims
  • 0 Assignments
Timeline View
Assignment View
    ×
    ×