×

Bypass thermal adjuster for vacuum semiconductor processing

  • US 8,403,613 B2
  • Filed: 03/05/2007
  • Issued: 03/26/2013
  • Est. Priority Date: 11/10/2003
  • Status: Active Grant
First Claim
Patent Images

1. A system comprising:

  • a vacuum module in a semiconductor manufacturing system, the vacuum module having a frame defining a continuous interior environment and having a substantially straight wafer transfer path extending there through;

    a sealable enclosure within the interior environment of the vacuum module, the sealable enclosure including a support for at least one wafer, the sealable enclosure being configured to selectively isolate an environment within the sealable enclosure from the interior environment of the vacuum module;

    a buffer disposed within the interior environment and having at least one additional wafer support, each of at least one additional wafer support being configured to support a wafer, where the sealable enclosure and the buffer are both disposed along the wafer transfer path and each of the at least one additional wafer support being continuously accessible so that wafers are transportable to and from the at least one additional wafer support in opposite directions along the substantially straight wafer transfer path independent of the sealable enclosure being sealed and unsealed; and

    a control system including a thermal management system that controls a temperature of the at least one wafer within the sealable enclosure, the control system being further configured to provide straight through transfer of wafers through the vacuum module along the substantially straight wafer transfer path without cycling an interior environment of the vacuum module.

View all claims
  • 9 Assignments
Timeline View
Assignment View
    ×
    ×