×

Implementing and checking electronic circuits with flexible ramptime limits and tools for performing the same

  • US 8,694,937 B2
  • Filed: 11/19/2012
  • Issued: 04/08/2014
  • Est. Priority Date: 07/14/2010
  • Status: Expired due to Fees
First Claim
Patent Images

1. A method of manufacturing an electronic circuit, comprising:

  • physically synthesizing a logical representation of an electronic circuit employing flexible ramptime limits, wherein a flexible ramptime limit is a minimum ramptime limit between a frequency based ramptime limit and a library based ramptime limit associated with said electronic circuit;

    performing a timing test on said physically synthesized electronic circuit employing said flexible ramptime limits and a processor; and

    determining if there is a violation of said flexible ramptime limits.

View all claims
  • 9 Assignments
Timeline View
Assignment View
    ×
    ×