×

Cache contention management on a multicore processor based on the degree of contention exceeding a threshold

  • US 9,268,542 B1
  • Filed: 04/28/2011
  • Issued: 02/23/2016
  • Est. Priority Date: 04/28/2011
  • Status: Active Grant
First Claim
Patent Images

1. A computer-implemented method for cache contention handling, the method comprising:

  • concurrently executing a first software application on a first core of a multicore processor and a second software application on a different, second core of the multicore processor, the first core and the second core sharing a cache memory of the multicore processor, wherein the first core is arranged to access the shared cache memory when a private cache memory of the first core is unavailable, wherein the second core is arranged to access the shared cache memory when a private cache memory of the second core is unavailable;

    identifying that a first number of cache misses by the first software application to the shared cache memory occurred over a first time period during the concurrent execution of the first software application and the second software application on the first core and the second core of the multicore processor, respectively;

    identifying that a second number of cache misses by the second software application to the shared cache memory occurred over the first time period;

    determining, based on the first number of cache misses by the first software application and the second number of cache misses by the second software application to the shared cache memory over the first time period, that a degree of contention for the shared cache memory among the first software application and the second software application during the first time period exceeds a threshold degree of contention;

    accessing data that indicates execution of the first software application on the multicore processor is to be prioritized over execution of the second software application on the multicore processor so as to minimize latency in responding to user inputs directed to the first software application; and

    in response to determining that the degree of contention for the shared cache memory during the first time period exceeds the threshold degree of contention, adjusting continued execution of the second software application on the second core so as to reduce the degree of contention for the shared cache memory among the first software application and the second software application, wherein the second software application is chosen for adjusted execution rather than the first software application based on the data that indicates execution of the first software application is to be prioritized over execution of the second software application.

View all claims
  • 2 Assignments
Timeline View
Assignment View
    ×
    ×