×

BEOL interconnect with carbon nanotubes

  • US 9,305,838 B2
  • Filed: 08/31/2012
  • Issued: 04/05/2016
  • Est. Priority Date: 08/31/2011
  • Status: Active Grant
First Claim
Patent Images

1. A method of fabricating an interconnect, comprising:

  • providing a substrate including a semiconductor device, a first layer of dielectric over the surface of said substrate and a second layer of dielectric on said first layer of dielectric, wherein said first layer of dielectric includes a filled via making electrical contact to said semiconductor device and said second layer of dielectric including an interconnect trench running perpendicular to the longitudinal axis of said filled via and exposing said filled via;

    depositing catalyst particles over the surface of said second layer of dielectric and the surfaces of said first layer of dielectric and said filled via exposed by said trench;

    growing cross-linked carbon nanotubes on said catalyst particles in a single process, said cross-linked carbon nanotubes being grown directly as cross-linked carbon nanotubes, said cross-linked carbon nanotubes being grown to a height greater than the depth of said trench;

    depositing a material in a void space of said cross-linked carbon nanotubes, forming a carbon nanotube composite; and

    removing said carbon nanotube composite and said catalyst from the top surface of said second layer of dielectric, leaving an interconnect line in said trenchwherein said growing cross-linked carbon nanotubes comprises growing by chemical vapor deposition in a chamber containing a precursor gas and selecting growth conditions including a partial pressure of precursor gas greater than the transition partial pressure at which carbon nanotube growth transitions from a parallel carbon nanotube growth mode to a cross-linked carbon nanotube growth mode.

View all claims
  • 1 Assignment
Timeline View
Assignment View
    ×
    ×