×

Method and apparatus for generating test patterns for use in at-speed testing

  • US 8,176,462 B2
  • Filed: 05/11/2009
  • Issued: 05/08/2012
  • Est. Priority Date: 05/11/2009
  • Status: Active Grant
First Claim
Patent Images

1. A method for use by a general purpose computing device that is configured to generate a set of test patterns with which to test an integrated circuit chip, the method comprising:

  • generating, by a processor of the general purpose computing device, the set of test patterns; and

    selecting, by the processor of the general purpose computing device, a set of paths on which to test the set of test patterns, wherein the selecting comprises;

    sensitizing one or more potential paths for inclusion in the set of paths, wherein the sensitization is performed as the one or more potential paths are traversed, and wherein the sensitizing comprises, for each given path in the one or more potential paths, traversing the given path through a combined graph in order to assess an impact of the given path on the set of test patterns, wherein the combined graph merges a logical representation of the integrated circuit chip with a timing graph for the integrated circuit chip, and wherein the traversing comprises;

    determining that a current node of the given path is a branch point for the given path, such that two or more sub-paths propagate from the current node;

    propagating values of a required transition at the current node backward along a selected one of the two or more sub-paths that appears most promising;

    performing said determining and propagating at a previous branch point in the given path, when none of the two or more sub-paths propagating from the current node appear promising, wherein the performing said determining and propagating at a previous branch point removes sensitization information previously set for sensitizing a part of the given path between the current node and the previous branch point;

    setting, at each node of the given path, the required transition, wherein the setting comprises computing a pair comprising;

    a signal that produces the required transition along at least one of the one or more potential paths and a unique identifier of the current node; and

    justifying the values of the required transition by propagating the values forward and backward along circuits of the integrated circuit chip.

View all claims
  • 6 Assignments
Timeline View
Assignment View
    ×
    ×