×

Ductile mode machining methods for hard and brittle components of plasma processing apparatuses

  • US 8,893,702 B2
  • Filed: 02/20/2013
  • Issued: 11/25/2014
  • Est. Priority Date: 02/20/2013
  • Status: Active Grant
First Claim
Patent Images

1. A method of ductile mode machining a component of a plasma processing apparatus wherein the component is made of nonmetallic hard and brittle material, comprising:

  • single point turning the component with a diamond cutting tool causing a portion of the nonmetallic hard and brittle material to undergo a high pressure phase transformation to form a ductile phase portion of the hard and brittle material during chip formation wherein a turned surface is formed from a phase changed material and the turned surface is a grooved textured surface of phase changed material.

View all claims
  • 1 Assignment
Timeline View
Assignment View
    ×
    ×